首页 > 科技 >

关于CPLD与FPGA的对比剖析

2019-04-09 09:09:07 暂无 阅读:1500 评论:0

1.PLD/FPGA/CPLD

PLD(Programmable Logic Device):可编程逻辑器件,数字集成电路半制品,芯片上按照必然的分列体式集成了大量的门和触发器等根基逻辑元件,使用者按照设计要求运用斥地对象将这些片内的元件保持起来,此过程称为编程;

FPGA:基于查找表手艺,要外挂设置用的EEPROM的PLD产物;由逻辑功能块分列为阵列,并由可编程的内部连线保持这些功能块。

CPLD(复杂可编程逻辑器件 Complex Programmable Logic Device):基于乘积项手艺,不需要外挂设置用的PLD产物。由可编程的与门,或门阵列以及宏单元组成。与门,或门阵列能够从新编程,实现多种逻辑功能。宏单元则能够实现组合,时序逻辑功能模块。

2.CPLD与FPGA的构造

CPLD一个根基单元(宏单元)就能够分化十几个甚至20,30多个组合逻辑输入,而FPGA的一个根基单元(LUT)只能处理4输入的组合逻辑,由此看来,CPLD适合用于设计译码等复杂的组合逻辑电路。

FPGA中包含的根基单元和触发器数量好多,成千上万,而CPLD一样只能做到512个逻辑单元。是以,在设计中使用到大量触发器,如设计一个复杂的时序逻辑电路,那么就应该用FPGA。

3.CPLD的工作道理

CPLD是基于乘积项的,此种CPLD的构造首要包罗宏单元,可编程的连线(PIA)和I/O掌握模块,根基逻辑功能就是由宏单元来实现的。

PIA则起到复杂旌旗传递,保持所有宏单元的感化,I/O掌握块负责输入输出的电气特征掌握。INPUT/GCLK1,INPUT/GCLRn,INPUT/OE1,INPUT/OE2是全局时钟,清零和输出访能旌旗,这些旌旗有专用连线与CPLD中每个宏单元相连。

关于CPLD与FPGA的对比剖析

CPLD器件构造图

CPLD的宏单元左侧是乘积项阵列,即“与或”阵列,每个交叉点都是一个可编程熔丝,若是导通就实现“与”逻辑,后背的乘积项选择矩阵是一个“或”阵列,两者一路实现组合逻辑。最右侧是一个可编程的D触发器,它的时钟,清零输入都能够编程选择。

关于CPLD与FPGA的对比剖析

CPLD宏单元图

4.FPGA的工作道理

FPGA是基于查找表的道理与构造,查找表简称LUT(Look-Up-Table),素质上就是一个RAM(掉电不留存数据),今朝使用最多的是4输入LUT,用户经由道理图或硬件描述说话描述了一个逻辑电路今后,FPGA斥地软件会主动较量逻辑电路的所有或者的究竟,并把究竟事先写入RAM,如许,每输入一个旌旗进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。

关于CPLD与FPGA的对比剖析

4输入与门实现逻辑电路和LUT的实现体式

相关文章